工業製造
産業用モノのインターネット | 工業材料 | 機器のメンテナンスと修理 | 産業プログラミング |
home  MfgRobots >> 工業製造 >  >> Industrial programming >> Verilog

Verilog タイムフォーマット

Verilog タイムスケール ディレクティブは、シミュレーションの時間単位と精度を指定します。

Verilog $timeformat システム関数は %t を指定します $display のような表示ステートメントでの形式指定子レポート スタイル と $strobe .

構文

  
  
$timeformat(<unit_number>, <precision>, <suffix_string>, <minimum field width>);

  

ユニット番号 時間単位
-3 1ms
-6 1us
-9 1ns
-12 1ps
-15 1fs

例 #1:1ns/1ps

$timeformat の例を次に示します。 時間単位の表示形式に影響します。

  
  
`timescale 1ns/1ps

module tb;
  bit 	a;
  
  initial begin
    
    // Wait for some time - note that because precision is 1/1000 of
    // the main scale (1ns), this delay will be truncated by the 3rd
    // position
    #10.512351;
    
    // Display current time with default timeformat parameters
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Change timeformat parameters and display again
    $timeformat(-9, 2, " ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Remove the space in suffix, and extend fractional digits to 5
    $timeformat(-9, 5, "ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Here suffix is wrong, it should not be "ns" because we are
    // setting display in "ps" (-12) 
    $timeformat(-12, 3, " ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Correct the suffix to ps
    $timeformat(-12, 2, " ps");
    $display("[T=%0t] a=%0b", $realtime, a);
  end
endmodule

  
シミュレーションログ
xcelium> run
[T=10512] a=0
[T=10.51 ns] a=0
[T=10.51200ns] a=0
[T=10512.000 ns] a=0
[T=10512.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.

例 #2:1ns/100ps

上記と同じ例を別のタイムスケールで示します。

  
  
`timescale 1ns/100ps

  
シミュレーションログ
xcelium> run
[T=105] a=0
[T=10.50 ns] a=0
[T=10.50000ns] a=0
[T=10500.000 ns] a=0
[T=10500.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.

例 #3:100ns/1ns

  
  
`timescale 100ns/1ns

  

#1 は 100ns を表し、したがって #10 は 1000ns になります

シミュレーションログ
xcelium> run
[T=1051] a=0
[T=1051.00 ns] a=0
[T=1051.00000ns] a=0
[T=1051000.000 ns] a=0
[T=1051000.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. Verilog チュートリアル
  2. Verilog 連結
  3. Verilog 割り当て
  4. Verilog ブロッキング &ノンブロッキング
  5. Verilog 関数
  6. Verilog タスク
  7. Verilog ゲート レベルの例
  8. Verilog クロック ジェネレーター
  9. Verilog 数学関数
  10. Verilog タイムスケール スコープ
  11. Verilog ファイルの IO 操作