工業製造
産業用モノのインターネット | 工業材料 | 機器のメンテナンスと修理 | 産業プログラミング |
home  MfgRobots >> 工業製造 >  >> Industrial programming >> Verilog

Verilog 数学関数

Verilog 数学関数は、定数式の代わりに使用でき、両方の integer をサポートします そして本物 数学。

整数数学関数

関数 $clog2 log2 の上限を返します 指定された引数の。これは通常、特定のサイズのメモリをアドレス指定するために必要な最小幅を計算するために使用されます。

たとえば、デザインに 7 つの並列加算器がある場合、7 つの加算器すべてを表すために必要な最小ビット数は $clog2 です。 3 を生成する 7 の

  
  
module des 
  #(parameter NUM_UNITS = 7) 
  
  // Use of this system function helps to reduce the 
  // number of input wires to this module
  (input [$clog2(NUM_UNITS)-1:0] active_unit);
  
  initial 
    $monitor("active_unit = %d", active_unit);
endmodule

`define NUM_UNITS 5

module tb;
  integer i;
  reg [`NUM_UNITS-1:0] 	active_unit;
  
  des #(.NUM_UNITS(`NUM_UNITS)) u0(active_unit);
  
  initial begin
    active_unit     = 1;     
	#10 active_unit = 7;
    #10 active_unit = 8;    
  end
endmodule

  

信号 active_unit には、合計 5 ユニットを格納するための 3 ビットがあることに注意してください。

シミュレーションログ
xcelium> run
active_unit = 001
active_unit = 111
active_unit = 000
xmsim: *W,RNQUIE: Simulation is complete.

実際の数学関数

これらのシステム関数は 実数 を受け入れます 引数を取り、実数を返します

関数 説明
$ln(x) 自然対数 log(x)
$log10(x) 十進対数 log10(x)
exp(x) x の指数 (e x ) ここで e=2.718281828...
sqrt(x) x の平方根
$pow(x, y) x y
$floor(x) フロア x
$ceil(x) 天井 x
$sin(x) x の正弦 (x はラジアン)
$cos(x) x の余弦 (x はラジアン単位)
$tan(x) x の正接 (x はラジアン単位)
$asin(x) x の逆正弦
$acos(x) x の逆余弦
$atan(x) x のアークタンジェント
$atan2(x, y) x/y のアークタンジェント
$hypot(x, y) x と y の斜辺 :sqrt(x x + y y )
$sinh(x) x の双曲線正弦
$cosh(x) x の双曲線余弦
$tanh(x) x の双曲線タンジェント
$asinh(x) x の逆双曲線正弦
$acosh(x) x の逆双曲線余弦
$atanh(x) x の逆双曲線タンジェント
  
  

module tb;
  real x, y;
  
  initial begin
    x = 10000;
    $display("$log10(%0.3f) = %0.3f", x, $log10(x));
    
    x = 1;
    $display("$ln(%0.3f) = %0.3f", x, $ln(x));
    
    x = 2;
    $display("$exp(%0.3f) = %0.3f", x, $exp(x));
    
    x = 25;
    $display("$sqrt(%0.3f) = %0.3f", x, $sqrt(x));
    
    x = 5;
    y = 3;
    $display("$pow(%0.3f, %0.3f) = %0.3f", x, y, $pow(x, y));
    
    x = 2.7813;
    $display("$floor(%0.3f) = %0.3f", x, $floor(x));
    
    x = 7.1111;
    $display("$ceil(%0.3f) = %0.3f", x, $ceil(x));
    
    x = 30 * (22.0/7.0) / 180;   // convert 30 degrees to radians
    $display("$sin(%0.3f) = %0.3f", x, $sin(x));
    
    x = 90 * (22.0/7.0) / 180;
    $display("$cos(%0.3f) = %0.3f", x, $cos(x));
    
    x = 45 * (22.0/7.0) / 180;
    $display("$tan(%0.3f) = %0.3f", x, $tan(x));
    
    x = 0.5;
    $display("$asin(%0.3f) = %0.3f rad, %0.3f deg", x, $asin(x), $asin(x) * 7.0/22.0 * 180);
    
    x = 0;
    $display("$acos(%0.3f) = %0.3f rad, %0.3f deg", x, $acos(x), $acos(x) * 7.0/22.0 * 180);
    
    x = 1;
    $display("$atan(%0.3f) = %0.3f rad, %f deg", x, $atan(x), $atan(x) * 7.0/22.0 * 180);    
  end
endmodule


  
シミュレーションログ
xcelium> run
$log10(10000.000) = 4.000
$ln(1.000) = 0.000
$exp(2.000) = 7.389
$sqrt(25.000) = 5.000
$pow(5.000, 3.000) = 125.000
$floor(2.781) = 2.000
$ceil(7.111) = 8.000
$sin(0.524) = 0.500
$cos(1.571) = -0.001
$tan(0.786) = 1.001
$asin(0.500) = 0.524 rad, 29.988 deg
$acos(0.000) = 1.571 rad, 89.964 deg
$atan(1.000) = 0.785 rad, 44.981895 deg
xmsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. Verilog チュートリアル
  2. Verilog 連結
  3. Verilog 割り当て
  4. Verilog ブロッキング &ノンブロッキング
  5. Verilog 関数
  6. Verilog タスク
  7. Verilog クロック ジェネレーター
  8. Verilog タイムフォーマット
  9. Verilog タイムスケール スコープ
  10. Verilog ファイルの IO 操作
  11. Verilog ハローワールド