配列には、同じ型の要素の固定サイズの順次コレクションが格納されます。配列はデータのコレクションを格納するために使用されますが、多くの場合、配列を連続したメモリ位置に格納された同じ型の変数のコレクションと考える方が便利です. number0、number1、...、number99 などの個々の変数を宣言する代わりに、numbers などの 1 つの配列変数を宣言し、numbers[0]、numbers[1]、および ...、numbers[99] を使用して表現します。個々の変数。配列内の特定の要素は、インデックスによってアクセスされます。 すべての配列は、連続したメモリ位置で構成されてい
コードのブロックを数回実行する必要がある場合があります。一般に、ステートメントは順番に実行されます。関数内の最初のステートメントが最初に実行され、次に 2 番目のステートメントが実行されます。 プログラミング言語は、より複雑な実行パスを可能にするさまざまな制御構造を提供します。 ループステートメントを使用すると、ステートメントまたはステートメントのグループを複数回実行できます。以下は、ほとんどのプログラミング言語でのループステートメントの一般的なフォームです- C# は、ループ要件を処理するために次の種類のループを提供します。以下のリンクをクリックして詳細を確認してください。 Sr.N
意思決定構造では、プログラマーは、条件が真であると判断された場合に実行される 1 つまたは複数のステートメントと共に、プログラムによって評価またはテストされる 1 つまたは複数の条件を指定する必要があります。は偽であると判断されます。 以下は、ほとんどのプログラミング言語に見られる典型的な意思決定構造の一般的な形式です- C# は、次の種類の意思決定ステートメントを提供します。以下のリンクをクリックして詳細を確認してください。 Sr.No. 声明と説明 1 if ステートメント if ステートメント ブール式とそれに続く 1 つ以上のステートメントで構成されます。 2 if..
CGI とは? Common Gateway Interface (CGI) は、Web サーバーとカスタム スクリプトの間で情報を交換する方法を定義する一連の標準です。 CGI仕様は現在NCSAによって維持されており、NCSAはCGIを次のように定義しています- Common Gateway Interface (CGI) は、外部ゲートウェイ プログラムが HTTP サーバーなどの情報サーバーとやり取りするための標準です。 現在のバージョンは CGI/1.1 で、CGI/1.2 は進行中です。 ウェブ閲覧 CGI の概念を理解するために、ハイパーリンクをクリッ
つまり、VHDL はデジタル回路の設計に使用されるコンピューター言語です。 私は「コンピューター言語」という用語を使用して、VHDL を Java や C++ などの他のより一般的なプログラミング言語と区別しています。しかし、VHDL はプログラミング言語ですか?はい、そうです。コンピューター プログラムを作成する際には役に立たないプログラミング言語です! VHDL は、イベント駆動型の並列プログラミング言語です。コンピュータの CPU で実行できるプログラムの作成には適していませんが、実際の CPU の作成には適しています。これはハードウェア記述言語です。つまり、デジタル ロジック
新しいプログラミング言語を学ぶとき、私はいつも印刷方法を学ぶことから始めるのが好きです. 「Hello World!」の出力をマスターすると、環境が機能していることがわかります。また、言語の基本的なスケルトン、出力を生成するために必要な最小限のコードも示します。 VHDL はハードウェア記述言語ですが、どうすればテキストを出力できるのでしょうか? FPGA か何かに接続されたスクリーンと、その間にあるあらゆる種類のロジックが必要になりますが、それはまったく単純ではありません。これはすべて真実ですが、FPGA と ASIC のことはしばらく忘れて、VHDL 言語に注意を向けましょう。 このブ
前のチュートリアルでは、プロセスをプログラム スレッドと見なすことができることを学びました。また、wait; ステートメントは、プログラムを無期限に一時停止させます。しかし、プログラムを永久以外の時間値まで待機させる方法はありますか? wait; を削除すると 完全にプログラムをコンパイルしようとすると、コンパイラは無限ループについて文句を言います。コンパイラが参照しているループは プロセス ループ です . VHDL のプロセス スレッドは決して終了せず、begin 間で継続的にループします。 と end process; ステートメント。 wait が必要です プロセス ループ内のどこか
前のチュートリアルでは、 wait for を使用して時間を遅らせる方法を学びました 声明。また、プロセス ループについても学びました。そのままにしておくと、プロセス「スレッド」がプロセス内で永久にループすることがわかりました。 しかし、プロセスの最初に一度だけ何かをしたい場合はどうすればよいでしょうか?そして、最後に他のコードをループしますか? loop を使用して、VHDL の最も単純な種類のループを作成できます。 このブログ投稿は、基本的な VHDL チュートリアル シリーズの一部です。 単純なループの構文は次のとおりです: loopend loop; このようなループは無期
デザイン module single_port_sync_ram # (parameter ADDR_WIDTH = 4, parameter DATA_WIDTH = 32, parameter DEPTH = 16 ) ( input clk, input [ADDR_WIDTH-1:0] addr, inout [DATA_WIDTH-1:0] data, input cs, input we, input oe ); reg
加算器は、2 つの数値の加算を実行するデジタル コンポーネントです。プロセッサの ALU 内の主要なコンポーネントであり、アドレス、テーブル インデックス、バッファ ポインタをインクリメントするために使用され、追加が必要な他の多くの場所で使用されます。 全加算器は、桁上げ入力を他の入力 2 進数と加算して、合計と桁上げ出力を生成します。 真理値表 A B シン カウト 合計 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 デザイン 4 ビッ
マルチプレクサまたはマルチプレクサとは? マルチプレクサまたは mux つまり、選択信号に基づいて、N 入力の 1 つから出力にデータを転送するデジタル要素です。以下に示すケースは、N が 4 に等しい場合です。たとえば、4 ビット マルチプレクサには、それぞれ 4 ビットの N 入力があり、選択信号を使用して各入力を出力に転送できます。 sel は 2 ビット入力で、4 つの値を持つことができます。選択行の各値により、入力の 1 つを出力ピン出力に送信できます。 sel a b c d out 0 3 7 1
デザイン module pr_en ( input [7:0] a, input [7:0] b, input [7:0] c, input [7:0] d, input [1:0] sel, output reg [7:0] out); always @ (a or b or c or d or sel) begin if (sel == 2b00) out <= a; else if
Simulink は、MATLAB と統合された動的および組み込みシステム用のシミュレーションおよびモデルベースの設計環境です。同じく MathWorks によって開発された Simulink は、マルチドメイン動的システムのモデリング、シミュレーション、および解析のためのデータ フロー グラフィカル プログラミング言語ツールです。これは基本的に、ブロック ライブラリのカスタマイズ可能なセットを備えたグラフィカルなブロック ダイアグラム ツールです。 これにより、MATLAB アルゴリズムをモデルに組み込み、さらに分析するためにシミュレーション結果を MATLAB にエクスポートできます。
GNU Octave は MATLAB のような高水準プログラミング言語であり、MATLAB とほとんど互換性があります。数値計算にも使用されます。 Octave は MATLAB と以下の共通機能を持っています − 行列は基本的なデータ型です 複素数のサポートが組み込まれています 数学関数とライブラリが組み込まれています ユーザー定義関数をサポート GNU Octave は自由に再配布可能なソフトウェアでもあります。 Free Software Foundation によって発行された GNU General Public License (GPL) の条件に基づいて、再配布および/
MATLAB は多項式を、降べきの順に並べられた係数を含む行ベクトルとして表します。たとえば、方程式 P(x) =x4 + 7x3 - 5x + 9 は − と表すことができます p =[1 7 0 -5 9]; 多項式の評価 ポリヴァル 関数は、指定された値で多項式を評価するために使用されます。たとえば、前の多項式 p を評価するには 、x =4で、タイプ- ライブデモ p = [1 7 0 -5 9]; polyval(p,4) MATLAB は上記のステートメントを実行し、次の結果を返します − ans = 693 MATLAB は polyvalm も提供します 行列
MATLAB は diff を提供します 記号導関数を計算するコマンド。最も単純な形式では、微分したい関数を引数として diff コマンドに渡します。 たとえば、関数 f(t) =3t2 の導関数を計算してみましょう。 + 2t-2 例 スクリプト ファイルを作成し、次のコードを入力します − syms t f = 3*t^2 + 2*t^(-2); diff(f) 上記のコードをコンパイルして実行すると、次の結果が生成されます − ans = 6*t - 4/t^3 以下は、上記の計算に相当するオクターブです- pkg load symbolic symbols t =
前のページ次のページ Python には、グラフィカル ユーザー インターフェイス (GUI) を開発するためのさまざまなオプションが用意されています。最も重要なものを以下に示します。 トキンター − Tkinter は、Python に同梱されている Tk GUI ツールキットへの Python インターフェイスです。この章では、このオプションについて説明します。 wxPython − これは、wxWindows http://wxpython.org 用のオープン ソース Python インターフェイスです。 JPython − JPython は Java 用の P
前のページ次のページ 一般に、ステートメントは順番に実行されます。関数内の最初のステートメントが最初に実行され、次に 2 番目のステートメントが実行されます。コードのブロックを数回実行する必要がある場合があります。 プログラミング言語は、より複雑な実行パスを可能にするさまざまな制御構造を提供します。 ループ ステートメントを使用すると、ステートメントまたはステートメントのグループを複数回実行できます。次の図は、ループ文を示しています − Python プログラミング言語は、ループ要件を処理するために次のタイプのループを提供します。 Sr.No. ループの種類と説明 1 while
前のページ次のページ 意思決定とは、プログラムの実行中に発生する条件を予測し、その条件に従って実行されるアクションを指定することです。 決定構造は、結果として TRUE または FALSE を生成する複数の式を評価します。結果が TRUE または FALSE の場合は、実行するアクションと実行するステートメントを決定する必要があります。 以下は、ほとんどのプログラミング言語に見られる典型的な意思決定構造の一般的な形式です- Python プログラミング言語は ゼロ以外 を想定しています および null 以外 値が TRUE で、それが ゼロ の場合 または null の場合、FA
見逃した方のために:Python 2 は 2020 年 1 月 1 日現在、正式にサポートされていません 。 まだ Python 2.7 を使用している場合は、今すぐアップグレードしてください .実行しているバージョンがわからない場合は、Python のバージョンを確認してください。 多くのパッケージ メンテナーが Python 3 に移行しました。まだ Python 2 をサポートしている人もいれば、すでに Python 2 のサポートを中止している人もいます。 Python 2 コード ベースから移行する必要がある場合は、Python コードから Python 3 への移行に関するガイ
Java 8 に関する質問と回答 さまざまな認定試験の準備をしている学生や専門家を支援することを特に意図して設計されています と就職の面接 .このセクションでは、インタビューの質問と多肢選択式の質問 (MCQ) のサンプルの有用なコレクションと、適切な説明を含むそれらの回答を提供します。 SN 質問/回答の種類 1 Java 8 面接の質問 このセクションでは、Java 8 のインタビューの質問の膨大なコレクションを提供し、回答がボックスに隠されているため、正しい回答を見つける前に試してみることができます。 2 Java 8 オンラインクイズ このセクションでは、Java 8 の複数
@Deprecated アノテーションは Java 5 バージョンで導入されました。 @Deprecated の注釈が付けられたプログラム要素は、次のいずれかの理由で使用してはならないことを意味します − 使用するとエラーが発生する可能性があります。 将来のバージョンでは互換性がなくなる可能性があります。 将来のバージョンでは削除される可能性があります。 より優れた効率的な代替手段がそれに取って代わりました。 非推奨の要素が使用されるたびに、コンパイラは警告を生成します。 Java 9 では、@Deprecated アノテーションに対して 2 つの新しい機能強化が行われています。
Java ドキュメントは、javadoc ツールを使用して生成できます。現在、ドキュメントは html 4.0 形式で生成されます。 Java 9 では、コマンド ライン引数で -html5 オプションを使用して、html 5 形式でドキュメントを生成できます。 古いスタイルの Java ドキュメント C:/JAVA フォルダー内の次のコードを検討してください。 Tester.java ライブデモ /** * @author MahKumar * @version 0.1 */ public class Tester { /** * Default method
Java は マルチスレッド プログラミング言語 これは、Java を使用してマルチスレッド プログラムを開発できることを意味します。マルチスレッド プログラムには、同時に実行できる 2 つ以上の部分が含まれており、特にコンピューターに複数の CPU がある場合に、各部分が同時に異なるタスクを処理して、利用可能なリソースを最適に利用できます。 定義上、マルチタスクとは、複数のプロセスが CPU などの共通の処理リソースを共有することです。マルチスレッドは、マルチタスクの概念をアプリケーションに拡張したもので、単一アプリケーション内の特定の操作を個々のスレッドに細分化できます。各スレッドは並行