工業製造
産業用モノのインターネット | 工業材料 | 機器のメンテナンスと修理 | 産業プログラミング |
home  MfgRobots >> 工業製造 >  >> Industrial programming >> Verilog

Verilog ハローワールド

非常に単純な例を使用して開始することが常に最善であり、「Hello World !」以外に目的に最も適したものはありません。

  
  
// Single line comments start with double forward slash "//"
// Verilog code is always written inside modules, and each module represents a digital block with some functionality
module tb;

  // Initial block is another construct typically used to initialize signal nets and variables for simulation
	initial
		// Verilog supports displaying signal values to the screen so that designers can debug whats wrong with their circuit
		// For our purposes, we'll simply display "Hello World" 
		$display ("Hello World !");
endmodule

  

module 入出力ポートのない tb と呼ばれるモジュールは、シミュレーションの最上位モジュールとして機能します。 initial ブロックは時間 0 単位で最初のステートメントを開始して実行します。 $display フォーマットされた文字列をコンソールに表示するために使用される Verilog システム タスクであり、ハードウェアに合成することはできません。主に、テストベンチとデザインのデバッグを支援するために使用されます。この場合、画面に表示されるテキスト メッセージは「Hello World !」です。

シミュレーションログ
ncsim> run
Hello World !
ncsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. C# Hello World - 初めての C# プログラム
  2. Java Hello World プログラム
  3. Verilog チュートリアル
  4. Verilog 連結
  5. Verilog 割り当て
  6. Verilog ブロッキング &ノンブロッキング
  7. Verilog 関数
  8. Verilog タスク
  9. Verilog クロック ジェネレーター
  10. Verilog 数学関数
  11. Verilog タイムフォーマット