工業製造
産業用モノのインターネット | 工業材料 | 機器のメンテナンスと修理 | 産業プログラミング |
home  MfgRobots >> 工業製造 >  >> Industrial programming >> Verilog

JKフリップフロップ

デザイン

  
  
module jk_ff ( input j,
               input k,
               input clk,
               output q);

   reg q;

   always @ (posedge clk)
      case ({j,k})
         2'b00 :  q <= q;
         2'b01 :  q <= 0;
         2'b10 :  q <= 1;
         2'b11 :  q <= ~q;
      endcase
endmodule

  

ハードウェア回路図

テストベンチ

  
  
module tb_jk;
   reg j;
   reg k;
   reg clk;
   
   always #5 clk = ~clk;
   
   jk_ff    jk0 ( .j(j),
                  .k(k),
                  .clk(clk),
                  .q(q));

   initial begin
      j <= 0;
      k <= 0;
      
      #5 j <= 0;
         k <= 1;
      #20 j <= 1;
          k <= 0;
      #20 j <= 1;
          k <= 1;
      #20 $finish;
   end

   initial
      $monitor ("j=%0d k=%0d q=%0d", j, k, q);
endmodule	

  

Verilog

  1. Verilog の紹介
  2. Verilog チュートリアル
  3. ASIC デザイン フロー
  4. 抽象化レイヤーの設計
  5. Verilog 構文
  6. Verilog データ型
  7. Verilog スカラーおよびベクトル
  8. Verilog T フリップフロップ
  9. Verilog Mod-N カウンター
  10. Verilog グレー カウンター
  11. スイッチを切り替える:周波数インバーターの用途は次のとおりです