工業製造
産業用モノのインターネット | 工業材料 | 機器のメンテナンスと修理 | 産業プログラミング |
home  MfgRobots >> 工業製造 >  >> Manufacturing Technology >> 産業技術

リップルキャリー加算器:知っておくべきことすべて

論理回路は、リップルキャリー加算器を使用してnビット値を加算する場合があります。その結果、このデジタル回路の実装は、多くのアプリケーションで有用な機能を提供します。ただし、計算プロセスが遅いため、パフォーマンスも低下します。これには通常、各全加算器ステージがビットを次の全加算器ステージにリップルするのを待つ必要があります。このようなプロセスは、最後に到達するまで繰り返され、結果が得られます。

リップルキャリー加算器を理解することは少し混乱するように思えるかもしれません。そのことを念頭に置いて、このテーマに関する知識を深めるために、この記事をまとめました。それでは始めましょう!

リップルキャリー加算器とは何ですか?

リップルキャリー加算器は、2つの2進数のnビット数を加算して結果を提供するデジタル回路として機能します。その構造はいくつかの全加算器で構成され、それぞれがカスケード設定で接続されています。このようにして、全加算器のキャリー出力は次の全加算器入力に接続します。

4ビットリップルの図は加算器を運びます。

出典:ウィキメディアコモンズ

全加算器は、2つの出力を生成しながら3つの入力を加算するように設計された論理回路を表します。より具体的には、2つの入力がAとBとして機能し、3番目の入力はキャリーインビットを表します。 (C-IN)一方、一方の出力はキャリーアウトビット(C-OUT)を表し、もう一方の出力は合計ビット(S)を表します。最終的に、全加算器回路は8つの入力に接続して、バイト幅の加算器を形成できます。これにより、キャリービットが1つの加算器から次の加算器にカスケードされます。 2つの半加算器回路を結合すると、全加算器が形成されます。

完全な加算器の論理図

出典:ウィキメディアコモンズ

リップルキャリー加算器の動作原理

各キャリーは全加算器ステージを介して生成され、その後、入力を介して次の全加算器に進みます。プロセス全体は、最終的な全加算器に到達するまで進みます。その結果、各キャリー出力ビットは次の全加算器ステージにリップルします。全体として、最も重要な操作には、4ビット加算器、8ビット加算器、16ビット加算器などの各入力ビットシーケンスを加算することが含まれます。

論理回路の伝搬遅延のため、キャリーインステージが発生するまで合計ビットとキャリーアウトビットは無効のままです。伝搬遅延は通常、入力と出力の間で経過した時間の長さによって発生します。たとえば、NOTゲート入力が0に設定されると、出力は1に設定されます。伝搬遅延は、出力設定が0に設定されてから入力が1に設定されるまでに経過する時間を定義します。また、キャリー伝搬遅延は、キャリーイン信号を受信して​​からキャリーアウト信号を実装するまでに経過する時間を定義します。

リップルキャリー加算器の真理値表

真理値表は、以下に示すように、リップルキャリー加算器のすべての入力の各出力値を決定します。

波紋は加算器の真理値表を運びます。

リップルキャリー加算器のVHDLコードとVerilogコード

リップルキャリー加算器を実装するには、VHDLコードとVerilogコードが必要です。提供されている2つの例があります。 1つ目は、2ビットのリップルキャリー加算器です。一方、他の例では、各入力幅をパラメータとして収集するリップルキャリー加算器を生成します。

リップルキャリー加算器アプリケーション


クロックにはリップルキャリー加算器が含まれています

リップルキャリーアダーの長所と短所

利点

リップルキャリー加算器は安価です。

出典:ウィキメディアコモンズ

短所

遅延は、その全体的な機能に不便を引き起こします

キャリールックアヘッド加算器

キャリールックアヘッドロジックは、合計に達する前にキャリーインビットを計算し、時間遅延を効果的に削減します。これは、2つの方法でキャリー信号を生成した後に発生します。最初のものは、1に等しいaビットとbビットの両方を含みます。一方、2番目のアプローチでは、キャリーインと1に等しいaビットまたはbビットのいずれかが使用されます。これらのビットは前の段階で実装されますが、キャリーインは加算器の先頭から始まります。

先読み加算器の回路図を実行します。

出典:ウィキメディアコモンズ

リップルキャリー加算器では、各全加算器は、合計を計算する前に、次の加算器へのキャリーインビットを処理します。したがって、そのプロセスでは長い遅延が発生します。

概要

全体として、キャリーリップル加算器は、デジタル回路で2つのnビット数を加算する機能を提供します。遅いプロセスですが、それでも本質的にデジタル信号処理に役立つ便利なアプリケーションがあります。このようにして、リップルキャリー加算器は、キャリーインビットを最後の全加算器に到達するまで各全加算器ステージにリップルします。この時点で、必要な計算が実行されます。ただし、キャリールックアヘッド加算器は、異なる手法を使用するため、この計算をより迅速に実行できます。したがって、遅延時間が短縮されます。

リップルキャリー加算器について質問がありますか?お気軽にお問い合わせください!


産業技術

  1. キャパシティプランニング戦略–知っておくべきことすべて
  2. レーザー切断について知っておくべきことすべて
  3. 製造における品質保証:あなたが知る必要があるすべて
  4. プロセス冷却について知っておくべきことすべて
  5. 可変電源:知っておくべきことすべて
  6. DIYフロートスイッチ:あなたが知る必要があるすべて
  7. フレキシブル レジスタ:知っておくべきことすべて
  8. ファラディ懐中電灯:あなたが知る必要があるすべて
  9. SCR とは:知っておくべきことすべて
  10. MFD コンデンサについて知っておくべきことすべて
  11. 合板について知っておくべきことすべて